임베디드랜드

 

이디자인은 PC에서 FPGA핀을 컨트롤 할수 있다.(시리얼 포트를 이용한다.)

상세사항:

  1. FPGA에서 GPout 이라는 8 아웃풋을 만든다. GPout은 FPGA리시버가 수신한 문자에 의해 업데이트된다.
  2. FPGA에서 GPin이라는 8 인풋을 만든다. GPin 은 매시간 문자로 받는 FPGA로 보내진다.

GP 출력은 PC로 부터 원격으로 제어되어질수 있다.

fpga4fun.com 의 FPGA interface projects 섹션에 serial interface 부분이 있다. 그 RS-232 부분의 번역본이다.
이문서의 원본은 http://www.fpga4fun.com/SerialInterface5.html 에서 찾을수 있다. from 후니유.

공부를 위한 목적으로 번역을 하였기때문에 오역,맘대로의역이 있어도 내맘이다 ㅋㅋ

module serialfun(clk, RxD, TxD, GPout, GPin);
input clk;
input RxD;
output TxD;
output [7:0] GPout;
input [7:0] GPin;
///////////////////////////////////////////////////
wire RxD_data_ready;
wire [7:0] RxD_data;
async_receiver deserializer(.clk(clk), .RxD(RxD), .RxD_data_ready(RxD_data_ready), .RxD_data(RxD_data));
reg [7:0] GPout;
always @(posedge clk) if(RxD_data_ready) GPout <= RxD_data;
///////////////////////////////////////////////////
async_transmitter serializer(.clk(clk), .TxD(TxD), .TxD_start(RxD_data_ready), .TxD_data(GPin));
endmodule

Posted by suvisor